Intel® Quartus® Prime Software
Intel® Quartus® Prime Design Software, Design Entry, Synthesis, Simulation, Verification, Timing Analysis, System Design (Platform Designer, formerly Qsys)
16597 Discussions

Error (21805): Current flow Full Compilation ended unexpectedly

dmanikanth
Novice
336 Views

Hi I am trying to run a design design with multiple configurations using quartus in a loop. some how after 2 successful compilations quartus is getting crashed at the end of next compilation i.e. In the next compilation it is running successfully till timing analyzer after that it is crashing with error

Error (21805): Current flow Full Compilation ended unexpectedly.

Can someone help me in solving this

0 Kudos
3 Replies
SyafieqS
Moderator
316 Views

Mani,


Can you attach the design for me to replicate the issue? or any compilation report?

would be helpful


0 Kudos
SyafieqS
Moderator
292 Views

Mani,


May I know if there is any update from my previous reply?




0 Kudos
SyafieqS
Moderator
281 Views

We do not receive any response from you to the previous reply that I have provided, thus I will put this case to close pending. Please post a response in the next 15 days to allow me to continue to support you. After 15 days, this thread will be transitioned to community support. The community users will be able to help you with your follow-up questions. 


0 Kudos
Reply