Intel® Quartus® Prime Software
Intel® Quartus® Prime Design Software, Design Entry, Synthesis, Simulation, Verification, Timing Analysis, System Design (Platform Designer, formerly Qsys)
16720 Discussions

Help in my FPGA assignment

Altera_Forum
Honored Contributor II
1,392 Views

Hi All 

 

I am new in using FPGA stuff,, I know it may seems a silly question for u,, 

 

but I am looking forward to your help,,  

 

I have a ready FPGA assignment and I just want to upload it on Altera programme and get the results 

 

I compiled it successfully ,, but what should I do after compiling it and how?? 

 

I dont know if it is possible to get a private help via emails if u think my question is too silly ,, but I really need help 

 

kind regrds
0 Kudos
9 Replies
Altera_Forum
Honored Contributor II
470 Views

This is where you would use your USB blaster and upload it to your FPGA on your dev board.  

Have you tried simulating the design in modelsim first?
0 Kudos
Altera_Forum
Honored Contributor II
470 Views

thnx for replying 

 

I tried to simulate it but because I am new to these things I didnt know what to choose and to add  

 

I just want to know the steps that I have to follow to get the results if I have the code
0 Kudos
Altera_Forum
Honored Contributor II
470 Views

Hi Rashed, 

 

Here's what you should have done; 

 

1. Created a design that synthesizes correctly (eg., schematic, VHDL, Verilog) 

 

2. Provide top-level pin assignments that match your hardware. 

 

This is *critical*, you must get the pin assignments correct. 

 

3. Make sure to set unused pins as "input with weak pull-up" (this may be the default, but it depends on what tool version you are using) 

 

4. Re-synthesize the design to use the pin assignments. 

 

5. Read the Quartus warning messages. 

 

You will see one about a missing TimeQuest SDC constraint, but you can ignore that one to start with. However, eventually you will also have to understand how to define timing constraints. 

 

6. Use the Quartus programmer to download the design to your hardware. 

 

What FPGA board do you have? What version of Quartus are you using? 

 

Cheers, 

Dave
0 Kudos
Altera_Forum
Honored Contributor II
470 Views

There are many step-by-step tutorials out there. Searching "Altera FPGA tutorials" on Google yields a lot of results. I recommend picking one that looks similar to what you'd like to do and go through it completely. 

 

Simulation is separate and not at all required to get something running on an FPGA. If it's a complex logic design, then you should simulate...but, as to how you simulate...well, it depends. It depends on what language you wrote your code in and what tools you have at your disposal. 

 

Best of luck! 

 

slacker
0 Kudos
Altera_Forum
Honored Contributor II
470 Views

thanks alot for your kindly help 

I really appreciate it ,, 

 

I am using DE2_70 Board
0 Kudos
Altera_Forum
Honored Contributor II
470 Views

 

--- Quote Start ---  

I am using DE2_70 Board 

--- Quote End ---  

 

Great! 

 

Look at the de2_basic.zip file posted in this thread: 

 

http://www.alteraforum.com/forum/showthread.php?t=33462 

 

This creates a simple design that blinks LEDs, and hex displays etc. 

 

Read the VHDL, read the Tcl scripts, and compare the pin assignments to the schematic you have. 

 

Cheers, 

Dave
0 Kudos
Altera_Forum
Honored Contributor II
470 Views

thank u so much Dave for the quick replys 

 

I will try it and get back to u if I had any question 

 

I really appreciate that
0 Kudos
Altera_Forum
Honored Contributor II
470 Views

 

--- Quote Start ---  

There are many step-by-step tutorials out there. Searching "Altera FPGA tutorials" on Google yields a lot of results. I recommend picking one that looks similar to what you'd like to do and go through it completely. 

 

Simulation is separate and not at all required to get something running on an FPGA. If it's a complex logic design, then you should simulate...but, as to how you simulate...well, it depends. It depends on what language you wrote your code in and what tools you have at your disposal. 

 

Best of luck! 

 

slacker 

--- Quote End ---  

 

 

 

thanks alot Slacker
0 Kudos
Altera_Forum
Honored Contributor II
470 Views

Hi Rashed, 

 

--- Quote Start ---  

thank u so much Dave for the quick replys 

 

I will try it and get back to u if I had any question 

 

I really appreciate that 

--- Quote End ---  

 

 

You're welcome. 

 

Take your time, read through other peoples code, and soon it will start to become clearer. 

 

Cheers, 

Dave
0 Kudos
Reply