Intel® Quartus® Prime Software
Intel® Quartus® Prime Design Software, Design Entry, Synthesis, Simulation, Verification, Timing Analysis, System Design (Platform Designer, formerly Qsys)
16593 Discussions

Hi, Have been able to add the qsys ADC module to the project but on final compilation the flow summary reports 0/1 ADC blocks in use even after I have selected all ports ANA1IN1 to ADC1IN8 in the qsys window.

AKohl3
Beginner
2,412 Views

 From what i can tell the ADC module only needs a command to select which port to sample so how do i make pin assignments to confirm the ADC in use!  

0 Kudos
1 Solution
SreekumarR_G_Intel
1,996 Views

Hello there ,

Here attached the screen shot and the modfied design file with ADC block utilization mentioned in the compliation report.

As of understood from the design you provided , quartus is optimizing the ADC block since it is no where used in the design.

 

In the modified design i instantiated the ADC input and clock as Input port which restrict the quartus to optimize the same.

 

ADC_Block.PNG

Hope helps ,

 

Thank you ,

 

Regards,

Sree

View solution in original post

0 Kudos
29 Replies
AKohl3
Beginner
598 Views

Hi,

Looking forward to your reply!

 

Avdit

0 Kudos
SreekumarR_G_Intel
598 Views

can I know did you get a chance to figure it the issue ? if you still facing the issue kindly let me know ?

 

Thank you,

 

Regards,

Sree

0 Kudos
SreekumarR_G_Intel
598 Views

sorry , didnt see your updated post ; Can I know you still facing the issue ?

 

Thank you ,

 

Regards,

Sree

0 Kudos
AKohl3
Beginner
598 Views

Yes Sree, I am still facing the same issue besides I have attached all files you requested in the past. Can you please provide an solution to the above attached project file as soon as possible?

0 Kudos
SreekumarR_G_Intel
598 Views

sorry, i didnt noticed your reply , can i know is that issue resolved ? kindly let me know if you looking for my design files still.

Apologize my delay in response.

0 Kudos
SreekumarR_G_Intel
598 Views

Hello , I thought i attached the file to you , Sorry looks i missed out, Can i know you still facing the same issue ? if yes , i will look at again .

0 Kudos
AKohl3
Beginner
598 Views

Hi,

I am still facing the same problem. I have been able to check the ADC input if I invoke the Jtag avalon adapter but if I use just the ADC control core the response data is stuck at zero. In the attached project I am trying a simple led blink check using the output of the ADC module. I would really appreciate a prompt reply.

 

0 Kudos
SreekumarR_G_Intel
1,997 Views

Hello there ,

Here attached the screen shot and the modfied design file with ADC block utilization mentioned in the compliation report.

As of understood from the design you provided , quartus is optimizing the ADC block since it is no where used in the design.

 

In the modified design i instantiated the ADC input and clock as Input port which restrict the quartus to optimize the same.

 

ADC_Block.PNG

Hope helps ,

 

Thank you ,

 

Regards,

Sree

0 Kudos
SreekumarR_G_Intel
598 Views

hello ,

sorry , I completed missed that your case here.

can you let me know you still facing the same issue , if yes I can attach the design which another customer posted the same in fourm.

 

Thank you ,

 

Regards,

Sree

0 Kudos
Reply