Intel® Quartus® Prime Software
Intel® Quartus® Prime Design Software, Design Entry, Synthesis, Simulation, Verification, Timing Analysis, System Design (Platform Designer, formerly Qsys)
16595 Discussions

How to use tcl script to generate Qsys system inside Quartus

gyuunyuu
New Contributor II
878 Views

When I change .vhd files I need to regenerate Qsys and then compile the design. How can I use tcl commands inside Quartus to regenerate the Qsys and then compile the project as well?​

 

Is there a way to automatically generate the Qsys systems when project is compiled? Why is this not done automatically? The problem arises since Qsys keeps local copies of the HDL source files.

0 Kudos
1 Reply
sstrell
Honored Contributor III
604 Views

I'm presuming you are using the Standard edition of Quartus. To do this, add the .qsys file for your system design to your Quartus project instead of the .qip file generated by Platform Designer. That way, your system will get generated as part of the normal compilation process. Having the .qip file as part of your Quartus project puts you in the situation you're in now where you have to manually regenerate the system every time you make a change (creating a new updated .qip file) before compiling in Quartus.

 

#iwork4intel

0 Kudos
Reply