Intel® Quartus® Prime Software
Intel® Quartus® Prime Design Software, Design Entry, Synthesis, Simulation, Verification, Timing Analysis, System Design (Platform Designer, formerly Qsys)
16597 Discussions

I keep getting this error when i try and compile and havent been able to solve it. Any suggestions?

SBurk3
Beginner
5,796 Views

 

 Error (12006): Node instance "inst" instantiates undefined entity "Lab_9_SevSegV2". Ensure that required library paths are specified correctly, define the specified entity, or change the instantiation. If this entity represents Intel FPGA or third-party IP, generate the synthesis files for the IP.

 

0 Kudos
2 Replies
CalvinJoaz_P_Intel
5,295 Views
Hi Sean Burke, Good day. You are getting this error because you didn't set your own library, yet. Go to assignment -> Settings -> Library (Category Field) -> Add your library path, then apply it. Let me know if this has helped resolve the issue you are facing or if you need any further assistance. Thank you.
0 Kudos
SBurk3
Beginner
5,295 Views

Yes it did thank you so much I have been stuck on this for hours your a life saver

Reply