Intel® Quartus® Prime Software
Intel® Quartus® Prime Design Software, Design Entry, Synthesis, Simulation, Verification, Timing Analysis, System Design (Platform Designer, formerly Qsys)
16609 Discussions

ModelSim-Altera Problem with PLL IP Core

Altera_Forum
Honored Contributor II
1,299 Views

I have some simple VHDL code. One file has an UNSIGNED counter: signal count : unsigned(3 downto 0); 

The TOP level entity has the clock as input. If I use this clock for the counter which is an instantiated 

entity the counter works. 

 

If a use a clock output from a PLL the counter does not work. Not only that, but the counter is not reset to 0 

when the reset pulse occurs. 

 

The PLL clock is causing some simulation problems.  

 

Any idea? 

 

Thanks.
0 Kudos
1 Reply
Altera_Forum
Honored Contributor II
382 Views

Found the problem. 

 

My code uses a "synchronous" reset. If one uses a reset on the PLL then all clocks are stopped during the reset. 

Thus, no reset code will be executed.! 

 

Solution: Permanently tie PLL reset to GND.
0 Kudos
Reply