Intel® Quartus® Prime Software
Intel® Quartus® Prime Design Software, Design Entry, Synthesis, Simulation, Verification, Timing Analysis, System Design (Platform Designer, formerly Qsys)
16720 Discussions

No messages in Modelsim [waveview & messageviewer]

Altera_Forum
Honored Contributor II
3,583 Views

I used to have messageindicators in the wave view of Modelsim. On my new computer they're gone. In my search for a solution I found that the messageviewer is empty. In my code i use multiple report statements like: report "some text";. I tried setting both msgmode and displaymsgmode to the value both, as was suggested on another forum. This did not help. Could you help me find the solution? I am totally out of ideas of how to solve this.

0 Kudos
10 Replies
Altera_Forum
Honored Contributor II
1,964 Views

Update: I have searched the great world wide web far and wide, but haven't found a solution, or a companion in misfortune. I'll keep searching and trying. But isn't there anyone who could point me in the right direction?

0 Kudos
Altera_Forum
Honored Contributor II
1,964 Views

Are you using command line switches -msgmode or -displaymsgmode?

0 Kudos
Altera_Forum
Honored Contributor II
1,964 Views

yup, I do. I have them in a .do file after compilation of the files, and before the running of the simulation. I see the reports being "executed" as they appear in the transcript.

0 Kudos
Altera_Forum
Honored Contributor II
1,964 Views

Well, it has been a while, but I still have not found a solution. Is there someone with a creative idea that might work?

0 Kudos
Altera_Forum
Honored Contributor II
1,964 Views

Instead of using switches, try setting the variables in the modelsim.ini file

0 Kudos
Altera_Forum
Honored Contributor II
1,964 Views

done that, no changes. As said before, I do see them in my transcript, but not even a hint in the waveform or messageviewer. Also there are no filters on the messages.

0 Kudos
Altera_Forum
Honored Contributor II
1,964 Views

Are you talking about Tcl script output? 

 

I do recall having to use "echo" rather than "puts" in Modelsim Tcl scripts, otherwise the output goes to the originating console, rather than the vsim console. 

 

Perhaps you are seeing this too? 

 

Cheers, 

Dave
0 Kudos
Altera_Forum
Honored Contributor II
1,964 Views

puts and echo are no problem, what I mean is the following: 

 

in my testbench I have the following line 

report "clockgen: started loop" severity note; 

 

which show in the transcript as  

# ** Note: clockgen: started loop# Time: 10 ns Iteration: 0 Instance: /testbenchfile 

 

I want these to show up in my waveform, as they do on other computers I used. (Unfortunately I have no access to the modelsim settings anymore.)
0 Kudos
Altera_Forum
Honored Contributor II
1,964 Views

I just tried this small example 

entity top is end; library IEEE; use IEEE.std_logic_1164.all; architecture arch of top is begin process begin wait for 1 ns; report "message 1"; wait for 1 ns; report "message 2"; wait for 1 ns; wait; end process; end; 

Using these commands 

 

vlib work vcom report.vhd vsim -i top -msgmode both -do "run -all" And it works for me. 

Can you try this and show us your script and transcript.  

 

// Questa Sim# // Version 10.2a win32 Mar 15 2013# //# // Copyright 1991-2013 Mentor Graphics Corporation# // All Rights Reserved.# //# // THIS WORK CONTAINS TRADE SECRET AND PROPRIETARY INFORMATION# // WHICH IS THE PROPERTY OF MENTOR GRAPHICS CORPORATION OR ITS# // LICENSORS AND IS SUBJECT TO LICENSE TERMS.# //# vsim -do {run -all} -i -msgmode both top # Loading std.standard# Loading std.textio(body)# Loading ieee.std_logic_1164(body)# Loading work.top(arch)#1# run -all # ** Note: message 1# Time: 1 ns Iteration: 0 Instance: /top# ** Note: message 2# Time: 2 ns Iteration: 0 Instance: /top
Altera_Forum
Honored Contributor II
1,964 Views

This works fine. 

result vlib work vcom C:/altera/13.0sp1/report.vhd # Model Technology ModelSim ALTERA vcom 10.1d Compiler 2012.11 Nov 2 2012 # -- Loading package STANDARD # -- Compiling entity top # -- Loading package TEXTIO # -- Loading package std_logic_1164 # -- Compiling architecture arch of top vsim -i top -msgmode both - -do "run -all" # ** Error: (vish-3296) Unknown option '-'. # Use the -help option for complete vsim usage. # Error loading design vsim -i top -msgmode both -do "run -all" # vsim -do {run -all} -i -msgmode both top # Loading std.standard # Loading std.textio(body) # Loading ieee.std_logic_1164(body) # Loading work.top(arch) # run -all # ** Note: message 1 # Time: 1 ns Iteration: 0 Instance: /top # ** Note: message 2 # Time: 2 ns Iteration: 0 Instance: /top  

 

and I got the two messages in the message viewer. 

I will try to implement this in my project and see what that does. 

But that'll have to wait until tomorrow.  

 

Thanks for the help so far.
0 Kudos
Reply