Intel® Quartus® Prime Software
Intel® Quartus® Prime Design Software, Design Entry, Synthesis, Simulation, Verification, Timing Analysis, System Design (Platform Designer, formerly Qsys)
16557 Discussions

Problem using SignalTap II Logic Analyzer in Quartus 17.1 Lite Edition

MEmel1
Beginner
1,484 Views

Hello,

 

I'm trying to get to work SignalTap II Logic Analyzer instance in my project compiled in Quartus 17.1 Lite Edition. SignalTap instance was created in MegaWizard. bdf file was added to schematic top entity, qip file to the project. Compilation was successful.

 

But I run into two problems:

1) I can't find .stp file - it's not generated

2) I can't enable TalkBack feature in Tools >> Options >> Internet Connectivity according to the instruction, because there's no TalkBack Options button.

 

What am i doing wrong?

0 Kudos
1 Solution
sstrell
Honored Contributor III
590 Views

You have to create a .stp file. It doesn't get generated for you. In general, it's easier to simply create the file and add nodes in the file to tap instead of doing a manual instantiation of Signal Tap in your design. That way you can easily enable or disable it with the option in the Settings dialog box and you don't have to alter your design.

 

Also, Talkback hasn't existed in the software for years. Why do you think you have to enable it?

View solution in original post

0 Kudos
2 Replies
sstrell
Honored Contributor III
591 Views

You have to create a .stp file. It doesn't get generated for you. In general, it's easier to simply create the file and add nodes in the file to tap instead of doing a manual instantiation of Signal Tap in your design. That way you can easily enable or disable it with the option in the Settings dialog box and you don't have to alter your design.

 

Also, Talkback hasn't existed in the software for years. Why do you think you have to enable it?

0 Kudos
MEmel1
Beginner
590 Views

Thank you for your answer, sstrell.

 

I'm currently switching from Quartus 13.1 to Quartus 17.1 and a little confused with some changes in the interface. Becides, I guess I've been using one template project with once created stp file for years, so I probably forgot that I need to create it manually.

 

As for Talkback, every piece of documentation I've found said that I need to turn it on in a free Quartus version. I haven't come across a mention that it doesn't exist anymore.

 

Anyway, thanks a lot for your help! SignalTap is working now. 

0 Kudos
Reply