Intel® Quartus® Prime Software
Intel® Quartus® Prime Design Software, Design Entry, Synthesis, Simulation, Verification, Timing Analysis, System Design (Platform Designer, formerly Qsys)
16556 Discussions

Problem with signaltap implementation on a stratix 10 development kit

SDe_J
New Contributor I
2,223 Views

Hello Intel forums,

 

I have implemented a project using a stratix 10 development kit. I can compile and program the board without problems, unless I include a signaltap instance.

 

When I include a signaltap instance, the project still compiles, but I get this warning during the timing analysis:

Warning(332182): No path is found satisfying assignment "set_max_skew -from [get_registers {auto_fab_0|alt_sld_fab_0|alt_sld_fab_0|auto_signaltap_auto_signaltap_0|sld_signaltap_inst|sld_signaltap_body|sld_signaltap_body|intel_stp_status_bits_cdc_u1|stp_status_bits_in_reg[*]}] -to [get_registers {auto_fab_0|alt_sld_fab_0|alt_sld_fab_0|auto_signaltap_auto_signaltap_0|sld_signaltap_inst|sld_signaltap_body|sld_signaltap_body|intel_stp_status_bits_cdc_u1|stp_status_bits_out[*]}] 1.000 ". This assignment will be ignored.

(I actually get 6 copies of the warning)

 

When I program the board with signaltap, the programming occurs without error, but I still get the 'program the device to continue message'. Additionally, when I click the `Acquire` button, I get this error message:

 

Error(261005): Can't find the instance. Download a design with SRAM Object File containing this instance.

It seems to me that the signaltap is being ignored due to the warning above, but I'm not sure how to fix it.

 

I am using Quartus pro 18.0

 

Any assistance would be greatly appreciated,

-Sam

 

 

 

0 Kudos
5 Replies
AnandRaj_S_Intel
Employee
631 Views

​Hi,

 

Correct SignalTap file has to be selected in Project settings under SignalTap II. 

Check if the .sof file loaded to the device is actually the new generated one.

Need to add SignalTap .stp file before we compile and built the project.

Also delete the db folder check.

 

If you still facing problem,

it may be due to logical issue, To confirm that we can create a simple project with stp and test it.

Or

May be due to Tool issue, use latest version of quartus and check.

 

Let me know if this has helped resolve the issue you are facing or if you need any further assistance.

 

Regards

Anand

0 Kudos
SDe_J
New Contributor I
631 Views

I have ensured that the signaltap file is included in the project, and that I'm using the latest .sof file.

 

I created a simple project with just a clock and a signal which interacts with the clock, and I still got the same problem. The test project is attached.

 

 

0 Kudos
AnandRaj_S_Intel
Employee
631 Views

​Hi,

 

Apologies for delayed reply

You have to delete the incremental_db, db and .stp file and recreate the signal tap file and compile it which will solve the problem.

 

Regards

ARS.

0 Kudos
SDe_J
New Contributor I
631 Views

Hi,

I tried this, and it did not solve my problem :(

-Sam

0 Kudos
SDe_J
New Contributor I
631 Views

I have solved the problem. It seems that the OS I was using is the problem. I was running quartus on Scientific Linux 6.5. When I tried on Windows 7, I was able to successfully run signaltap. I have also tried using Ubuntu 18.04, and was successful.

 

Thank you for your assistance.

0 Kudos
Reply