Intel® Quartus® Prime Software
Intel® Quartus® Prime Design Software, Design Entry, Synthesis, Simulation, Verification, Timing Analysis, System Design (Platform Designer, formerly Qsys)
16720 Discussions

Quartus II 12 error of compiling

Altera_Forum
Honored Contributor II
1,006 Views

Can you help me ? 

Error : 

 

 

*** Fatal Error: Access Violation at 0X3B524130 

Module: quartus_map.exe 

Lock in use: 9 

Stack Trace: 

0xada2: MGL_GENERATOR::generator_error + 0x182 (cbx_mgl) 

0x24524: MGL_GENERATOR::remove + 0x134 (cbx_mgl) 

0x26a9d: MGL_ELEMENT::~MGL_ELEMENT + 0x3d (cbx_mgl) 

0x3643b: MGL_PARAMETER::~MGL_PARAMETER + 0x5b (cbx_mgl) 

0x372a2: MGL_PARAMETER_STRING::~MGL_PARAMETER_STRING + 0x42 (cbx_mgl) 

0x41b81: MGL_GENERATOR::~MGL_GENERATOR + 0x8b1 (cbx_mgl) 

0x41ff: CBX_ALTSYNCRAM::~CBX_ALTSYNCRAM + 0x50f (cbx_altsyncram) 

0x2eb8: CBX_ALTSYNCRAM::`vector deleting destructor' + 0x8 (cbx_altshift_taps) 

0x4135a: MGL_GENERATOR::~MGL_GENERATOR + 0x8a (cbx_mgl) 

0x13a3: CBX_ALTSHIFT_TAPS::~CBX_ALTSHIFT_TAPS + 0x103 (cbx_altshift_taps) 

0x158f: CBX_ALTSHIFT_TAPS::`vector deleting destructor' + 0x3f (cbx_altshift_taps) 

0x1840: _cbx_destroy_generator + 0x10 (cbx_altshift_taps) 

0x2369: CBXI_DLL_INTERFACE::disconnect_from_dll + 0x59 (synth_cbxi) 

0x1aab6: __crt_debugger_hook + 0x22e8 (synth_cbxi) 

0x18128: __ + 0x1bd (synth_cbxi) 

0x18247: __ + 0x2dc (synth_cbxi) 

0x182c4: __ + 0x1d (synth_cbxi) 

0x1189: LdrInitializeThunk + 0x23 (ntdll) 

0x23549: RtlDestroyEnvironment + 0x177 (ntdll) 

0x1caad: IsValidLocale + 0x8ea (kernel32) 

0x1cb25: ExitProcess + 0x13 (kernel32) 

0x221cb: _amsg_exit + 0x74 (MSVCR90) 

0x22410: _get_pgmptr + 0x195 (MSVCR90) 

0x22465: exit + 0x10 (MSVCR90) 

0xc5b1: _mem_out_of_memory + 0xa3 (ccl_mem) 

0x4a215: _Dinkum_std::_New_handler_interface + 0x5 (dinkum_alt) 

0x21b1d: _callnewh + 0x19 (MSVCR90) 

0x4a0e5: operator new + 0x45 (dinkum_alt) 

0x80a2: _Dinkum_std::basic_string<char,_Dinkum_std::char_traits<char>,_Dinkum_std::allocator<char> >::_Copy + 0x72 (dinkum_alt) 

0x99c8: _Dinkum_std::basic_string<char,_Dinkum_std::char_traits<char>,_Dinkum_std::allocator<char> >::assign + 0x68 (dinkum_alt) 

0x3205d: _Dinkum_std::basic_string<char,_Dinkum_std::char_traits<char>,_Dinkum_std::allocator<char> >::operator= + 0xd (dinkum_alt) 

0x26a30: MGL_ELEMENT::MGL_ELEMENT + 0x60 (cbx_mgl) 

0x3647d: MGL_PARAMETER::MGL_PARAMETER + 0x2d (cbx_mgl) 

0x372ed: MGL_PARAMETER_INTEGER::MGL_PARAMETER_INTEGER + 0x2d (cbx_mgl) 

0x150a5: CBX_STRATIX_RAM_BLOCK::CBX_STRATIX_RAM_BLOCK + 0x1915 (cbx_stratix) 

0x224d3: CBX_STRATIX_RAM_BLOCK::create_self_copy + 0x43 (cbx_stratix) 

0x63272: MGL_GENERATOR::create_slices + 0x22 (cbx_mgl) 

0x65786: MGL_GENERATOR::operator[] + 0xf6 (cbx_mgl) 

0x56869: CBX_ALTSYNCRAM::implement_new_block_ram_dp_common + 0xfe9 (cbx_altsyncram) 

0x61ded: CBX_ALTSYNCRAM::implement_titan_dp + 0x39d (cbx_altsyncram) 

0x626c4: CBX_ALTSYNCRAM::rtl_body + 0x144 (cbx_altsyncram) 

0x79c00: MGL_GENERATOR::generate_subdesign + 0x20a0 (cbx_mgl) 

0x7a285: MGL_GENERATOR::dump_hdl_generate_subdesigns + 0x175 (cbx_mgl) 

0x7bad7: MGL_GENERATOR::dump_hdl + 0xb7 (cbx_mgl) 

0x3d83: CBX_ALTSHIFT_TAPS::rtl_body + 0xe03 (cbx_altshift_taps) 

0x79c00: MGL_GENERATOR::generate_subdesign + 0x20a0 (cbx_mgl) 

0x1862: _cbx_generate_hdl + 0x12 (cbx_altshift_taps) 

0xe922: CBXI_DLL_INTERFACE::generate_hdl + 0x72 (synth_cbxi) 

0x16af3: CBXI_MANAGER::generate_code + 0x9e3 (synth_cbxi) 

0xadc96: SGN_CLEAR_BOX::process + 0x246 (synth_sgn) 

0x79d9c: SGN_EXTRACTOR::regenerate_clearbox_entity + 0xdc (synth_sgn) 

0x8c32b: SGN_EXTRACTOR::recursive_extraction + 0xab (synth_sgn) 

0x8cd19: SGN_EXTRACTOR::synthesize_partition + 0x3a9 (synth_sgn) 

0x8ecaf: SGN_EXTRACTOR::synthesis + 0x16f (synth_sgn) 

0x8f3b3: SGN_EXTRACTOR::synthesis_and_post_processing + 0x83 (synth_sgn) 

0x12344: sgn_qic_full + 0x204 (synth_sgn) 

0x415b: qsyn_execute_sgn + 0xeb (quartus_map) 

0x1e182: QSYN_FRAMEWORK::execute_core + 0xe2 (quartus_map) 

0x1e7e0: QSYN_FRAMEWORK::execute + 0xa0 (quartus_map) 

0xff81: QEXE_ARGS::get_command_line + 0x14c1 (comp_qexe) 

0x12434: qexe_process_cmdline_arguments + 0x3d4 (comp_qexe) 

0x12523: qexe_standard_main + 0x83 (comp_qexe) 

0x195be: qsyn_main + 0x5e (quartus_map) 

0x1901: msg_main_thread + 0x11 (CCL_MSG) 

0x1be8: _thr_final_wrapper + 0x8 (ccl_thr) 

0x1875: msg_thread_wrapper + 0x85 (CCL_MSG) 

0x391a: mem_thread_wrapper + 0x4a (ccl_mem) 

0x25d21: msg_exe_main + 0x81 (CCL_MSG) 

0x1aa7c: _main + 0x1c (quartus_map) 

0x26ce4: __ftol2 + 0x1ce (quartus_map) 

0x17076: RegisterWaitForInputIdle + 0x48 (kernel32) 

End-trace 

Quartus II 32-bit Version 12.0 Build 263 08/02/2012 SJ Full Version 

Service Pack Installed: 2
0 Kudos
2 Replies
Altera_Forum
Honored Contributor II
277 Views

Submitting as a service request to https://www.altera.com/myaltera/mal-index.jsp is your best shot. They'll probably ask you to give them a test case where this occurs, so make sure you strip down any confidential IP

0 Kudos
Altera_Forum
Honored Contributor II
277 Views

You can also try to delete the db and incremental_db folders in your project and recompile. Sometimes starting with a new anc clean database fixes those kind of crashes.

0 Kudos
Reply