Intel® Quartus® Prime Software
Intel® Quartus® Prime Design Software, Design Entry, Synthesis, Simulation, Verification, Timing Analysis, System Design (Platform Designer, formerly Qsys)
16556 Discussions

Quartus simulation error

Bongumsa12
Beginner
391 Views

Bongumsa12_0-1653828814343.png

 

I get this error when running functional simulation. Any idea of how to fix this?

 

0 Kudos
3 Replies
SyafieqS
Moderator
366 Views

Any other information other than error pop up there?

Log/report etc?

There must be some kind of message for the error.


0 Kudos
SyafieqS
Moderator
348 Views

Hi,


May I know if there is any update?



0 Kudos
SyafieqS
Moderator
341 Views

We do not receive any response from you to the previous reply that I have provided, thus I will put this case to close pending. Please post a response in the next 15 days to allow me to continue to support you. After 15 days, this thread will be transitioned to community support. The community users will be able to help you with your follow-up questions. 


0 Kudos
Reply