Intel® Quartus® Prime Software
Intel® Quartus® Prime Design Software, Design Entry, Synthesis, Simulation, Verification, Timing Analysis, System Design (Platform Designer, formerly Qsys)
16556 Discussions

Timing Constraints with PLL clock muxes

SK_VA
Beginner
1,351 Views

I feed the output of pll to internal fpga logic that drives an output pin.

How to constrain the output with respect to the clock input of pll?

I am able to constrain w.r.t the output of pll

0 Kudos
7 Replies
KhaiChein_Y_Intel
422 Views

Is the output pin a data pin or clock output pin? May I know what kind of constraints you are referring to?

 

0 Kudos
sstrell
Honored Contributor III
422 Views

If you are driving out a clock, you'll need a generated clock constraint targeted to that output port. The source of the generated clock will be the output pin of the PLL.

0 Kudos
SK_VA
Beginner
422 Views

multi_clk.JPGHi,

I want to set output_delay and skew constraints on some output data pins w.r.t the referred clock.

 

I have 4 input clocks fed to 4 pins of FPGA. They are divided to 2 groups each with 2 clocks fed to 2 pll clock muxes. The out of these pll muxes are fed to one more mux. The final output of this mux is fed to the logic.

Now I am able to constrain w.r.t the output of pll clock muxes.

 

But I want to constrain my output data pins w.r.t to 4 input clocks that comes to FPGA.

 

Please refer the figure.I want to constrain w.r.t Cb1_clk1,Cb1_clk2,Cb2_clk1 and Cb2_clk2

 

 

 

 

 

0 Kudos
KhaiChein_Y_Intel
422 Views

You may constrain

 

create_generated_clock -name output_clock -source [get_ports  Cb1_clk1] [get_ports clk_out]

0 Kudos
SK_VA
Beginner
422 Views

I tried this but it shows a warning that no such path exists.

0 Kudos
KhaiChein_Y_Intel
422 Views

Do you have output port for clock output?

 

 

0 Kudos
SK_VA
Beginner
422 Views

I have ports for Cb1_clk,Cb2_clk and out_clk.

I think because of the PLL I am not able to constrain with respect to input clocks.

0 Kudos
Reply