Intel® Quartus® Prime Software
Intel® Quartus® Prime Design Software, Design Entry, Synthesis, Simulation, Verification, Timing Analysis, System Design (Platform Designer, formerly Qsys)

vcom-1576

alkhassib
Beginner
1,296 Views

The simulation flow progress shows these errors :

# ** Error: Waveform.vwf.vht(32): near "-": (vcom-1576) expecting IS.

# ** Error: C:/intelFPGA_lite/20.1/modelsim_ase/win32aloem/vcom failed.

The code below is from the simulation settings (VHDL):-


onerror {exit -code 1}

vlib work

vcom -work work Lab2-2.vho

vcom -work work Waveform.vwf.vht

vsim -novopt -c -t 1ps -L cyclonev -L altera -L altera_mf -L 220model -L sgate -L altera_lnsim work.Lab2-2_vhd_vec_tst

vcd file -direction Lab2-2.msim.vcd

vcd add -internal Lab2-2_vhd_vec_tst/*

vcd add -internal Lab2-2_vhd_vec_tst/i1/*

proc simTimestamp {} {

echo "Simulation time: $::now ps"

if { [string equal running [runStatus]] } {

after 2500 simTimestamp

}

}

after 2500 simTimestamp

run -all

quit -f

 



 

 

0 Kudos
2 Replies
SyafieqS
Moderator
1,250 Views

Hi Khassib,


In your .vhd file, you probably need to look at the line pointed 32. Modelsim is telling that it expects IS probably related to identifier/syntax. Snippet of the code would be helpful here. 



0 Kudos
SyafieqS
Moderator
1,229 Views

We do not receive any response from you to the previous reply that I have provided, thus I will put this case to close pending. Please post a response in the next 15 days to allow me to continue to support you. After 15 days, this thread will be transitioned to community support. The community users will be able to help you with your follow-up questions. 


0 Kudos
Reply