Programmable Devices
CPLDs, FPGAs, SoC FPGAs, Configuration, and Transceivers
Announcements
FPGA community forums and blogs on community.intel.com are migrating to the new Altera Community and are read-only. For urgent support needs during this transition, please visit the FPGA Design Resources page or contact an Altera Authorized Distributor.
21615 Discussions

Could not find rtl_work.TB.vhd

Altera_Forum
Honored Contributor II
17,964 Views

Hello,  

 

The same process is giving issues once again: 

# ** Error: (vsim-3170) Could not find 'C:\Users\Alfonso\Desktop\PFC\simulation\modelsim\ rtl_work.TB_PFC_main'.# Error loading design# Error: Error loading design # Pausing macro execution # MACRO ./PFC_run_msim_rtl_vhdl.do PAUSED at line 34 

 

 

I remember to delete the work folder to be able to compile a library that need that according to a modelsim tutorial: http://doc.union.edu/118/se_tutor.pdf (http://doc.union.edu/118/se_tutor.pdf

 

I have to do 

 

vcom <vhdl files .vhd> # do this for all the files 

 

cause it had to be done in the work folder, this may have caused the issue.  

 

i'm lost, can anyone help me?.
0 Kudos
80 Replies
Altera_Forum
Honored Contributor II
1,635 Views

 

--- Quote Start ---  

 

use work.FIXED_PKG.ALL 

 

with it, the quartus works 

 

--- Quote End ---  

Ok.  

 

But this line means that you have changed back to the case where all libraries should be compiled into the work library. 

 

 

--- Quote Start ---  

 

but we are stuck at modelsim: 

# ** Error: C:/Users/Alfonso/Desktop/PFC/fixed_pkg_c.vhdl(22): Library ieee_proposed not found.# ** Error: C:/Users/Alfonso/Desktop/PFC/fixed_pkg_c.vhdl(23): (vcom-1136) Unknown identifier "IEEE_PROPOSED".# ** Error: C:/Users/Alfonso/Desktop/PFC/fixed_pkg_c.vhdl(28): VHDL Compiler exiting 

 

--- Quote End ---  

Read the error message - its telling you that its looking for library ieee_proposed. Doesn't that make you think: 

 

oh, i thought i edited that file and changed those lines to use work.fixed_pkg.all; 

 

Then you would edit the file, re-run the example and not have an issue. Try that, and let me know how it goes. 

 

Cheers, 

Dave
0 Kudos
Altera_Forum
Honored Contributor II
1,635 Views

 

--- Quote Start ---  

 

oh, i thought i edited that file and changed those lines to use work.fixed_pkg.all; 

 

 

--- Quote End ---  

 

 

Almost, we used: --use work.fixed_package.all; i've saved it just in case --
0 Kudos
Altera_Forum
Honored Contributor II
1,635 Views

 

--- Quote Start ---  

Hello, 

 

Seems all of this work, But: 

 

 

when i copy fixed_pkg_c.vhdl & fixed_float_types_c.vhdl now everything goes ok! 

 

 

vcom -work rtl_work fixed_float_types_c.vhdl# Model Technology ModelSim ALTERA vcom 10.0c Compiler 2011.09 Sep 21 2011# -- Loading package STANDARD# -- Compiling package fixed_float_types 

vcom -work rtl_work fixed_pkg_c.vhdl# Model Technology ModelSim ALTERA vcom 10.0c Compiler 2011.09 Sep 21 2011# -- Loading package STANDARD# -- Loading package TEXTIO# -- Loading package std_logic_1164# -- Loading package NUMERIC_STD# -- Loading package fixed_float_types# -- Compiling package fixed_pkg# -- Loading package MATH_REAL# -- Compiling package body fixed_pkg# -- Loading package fixed_pkg# ** Warning: [3] fixed_pkg_c.vhdl(1470): (vcom-1246) Range 0 downto 1 is null.# ** Warning: [3] fixed_pkg_c.vhdl(1471): (vcom-1246) Range 0 downto 1 is null.# ** Warning: [3] fixed_pkg_c.vhdl(1472): (vcom-1246) Range 0 downto 1 is null.# ** Warning: [3] fixed_pkg_c.vhdl(6888): (vcom-1246) Range 2 to 1 is null. 

 

 

 

 

 

but if i close the modelsim and launch it (even having compiled again) reappears the error: 

 

 

 

 

# ** error: (vsim-3170) could not find 'c:\users\alfonso\desktop\pfc\simulation\modelsim\ rtl_work.tb_pfc_main'.# Error loading design# Error: Error loading design # Pausing macro execution # MACRO ./PFC_run_msim_rtl_vhdl.do PAUSED at line 34 

 

how do i do to save the results?? 

 

To launch ok modelsim i've got to close the console and reestart the modelsim, wich takes me to # ** error: (vsim-3170) could not find 'c:\users\alfonso\desktop\pfc\simulation\modelsim\ rtl_work.tb_pfc_main'. 

 

Thank you!! 

--- Quote End ---  

 

 

Hello, i'm here again how do I save the results?
0 Kudos
Altera_Forum
Honored Contributor II
1,635 Views

 

--- Quote Start ---  

Hello, i'm here again how do I save the results? 

--- Quote End ---  

This question does not make any sense. 

 

Save what results? 

 

From the error message you posted, the testbench rtl_work.tb_pfc_main cannot be found.  

 

So have you added the testbench to the project? Look at the .do script and see if TB_PFC_main.vhd was ever compiled. If it was not, then you need to figure out how to include it.  

 

I never use Quartus to launch Modelsim, so I'm not sure what you need to do for that step. You will need to read the Quartus documentation. 

 

Cheers, 

Dave
0 Kudos
Altera_Forum
Honored Contributor II
1,635 Views

here is my pfc_run_msim_rtl_vhdl.do 

 

transcript on 

if {[file exists rtl_work]} { 

vdel -lib rtl_work -all 

vlib rtl_work 

vmap work rtl_work 

 

vcom -93 -work work {C:/Users/Alfonso/Desktop/PFC/fixed_float_types_c.vhdl} 

vcom -93 -work work {C:/Users/Alfonso/Desktop/PFC/PLL.vhd} 

vcom -93 -work work {C:/Users/Alfonso/Desktop/PFC/IHW_UART.vhd} 

vcom -93 -work work {C:/Users/Alfonso/Desktop/PFC/fixed_pkg_c.vhdl} 

vcom -93 -work work {C:/Users/Alfonso/Desktop/PFC/Pack.vhd} 

vcom -93 -work work {C:/Users/Alfonso/Desktop/PFC/ProducteSO.vhd} 

vcom -93 -work work {C:/Users/Alfonso/Desktop/PFC/ProducteSE.vhd} 

vcom -93 -work work {C:/Users/Alfonso/Desktop/PFC/ProducteO.vhd} 

vcom -93 -work work {C:/Users/Alfonso/Desktop/PFC/ProducteNO.vhd} 

vcom -93 -work work {C:/Users/Alfonso/Desktop/PFC/ProducteNE.vhd} 

vcom -93 -work work {C:/Users/Alfonso/Desktop/PFC/ProducteE.vhd} 

vcom -93 -work work {C:/Users/Alfonso/Desktop/PFC/Producte.vhd} 

vcom -93 -work work {C:/Users/Alfonso/Desktop/PFC/ProdAnteriorSO.vhd} 

vcom -93 -work work {C:/Users/Alfonso/Desktop/PFC/ProdAnteriorSE.vhd} 

vcom -93 -work work {C:/Users/Alfonso/Desktop/PFC/ProdAnteriorS.vhd} 

vcom -93 -work work {C:/Users/Alfonso/Desktop/PFC/ProdAnteriorO.vhd} 

vcom -93 -work work {C:/Users/Alfonso/Desktop/PFC/ProdAnteriorNO.vhd} 

vcom -93 -work work {C:/Users/Alfonso/Desktop/PFC/ProdAnteriorNE.vhd} 

vcom -93 -work work {C:/Users/Alfonso/Desktop/PFC/ProdAnteriorN.vhd} 

vcom -93 -work work {C:/Users/Alfonso/Desktop/PFC/ProdAnteriorE.vhd} 

vcom -93 -work work {C:/Users/Alfonso/Desktop/PFC/ProdAnterior.vhd} 

vcom -93 -work work {C:/Users/Alfonso/Desktop/PFC/ProducteN.vhd} 

vcom -93 -work work {C:/Users/Alfonso/Desktop/PFC/SumaStep3.vhd} 

vcom -93 -work work {C:/Users/Alfonso/Desktop/PFC/SumaStep2.vhd} 

vcom -93 -work work {C:/Users/Alfonso/Desktop/PFC/SumaStep1.vhd} 

vcom -93 -work work {C:/Users/Alfonso/Desktop/PFC/SumaStep.vhd} 

vcom -93 -work work {C:/Users/Alfonso/Desktop/PFC/PFC_main.vhd} 

vcom -93 -work work {C:/Users/Alfonso/Desktop/PFC/CNN.vhd} 

vcom -93 -work work {C:/Users/Alfonso/Desktop/PFC/ProducteS.vhd} 

vcom -93 -work work {C:/Users/Alfonso/Desktop/PFC/TB_PFC_main.vhd} 

 

vcom -93 -work work {C:/Users/Alfonso/Desktop/PFC/TB_PFC_main.vhd} 

 

vsim -t 1ps -L altera -L lpm -L sgate -L altera_mf -L altera_lnsim -L cycloneii -L rtl_work -L work -voptargs="+acc" TB_PFC_main 

 

add wave * 

view structure 

view signals 

run -all
0 Kudos
Altera_Forum
Honored Contributor II
1,635 Views

Well, how do you launch modelsim, i'll do on your way, please help me.

0 Kudos
Altera_Forum
Honored Contributor II
1,635 Views

 

--- Quote Start ---  

Well, how do you launch modelsim, i'll do on your way, please help me. 

--- Quote End ---  

 

 

You can launch Modelsim from the Start Menu. It will be in the folder with your Quartus install. 

 

Once its started do the following; 

 

cd C:/Users/Alfonso/Desktop/PFC 

source PFC_run_msim_rtl_vhdl.do 

 

and that will run (source) your script. 

 

If that results in an error, post the messages from the Modelsim console. 

 

Cheers, 

Dave
0 Kudos
Altera_Forum
Honored Contributor II
1,635 Views

Hello,  

 

same error: 

 

# vsim -l altera -l lpm -l sgate -l altera_mf -l altera_lnsim -l cycloneii -l rtl_work -l work -voptargs=\"+acc\" -t 1ps tb_pfc_main # ** error: (vsim-3170) could not find 'c:\users\alfonso\desktop\pfc\simulation\modelsim\rtl_work.tb_pfc_main'. 

 

but slighty diferent, my .do is not on the VHDL's root, its here: 

 

C:\Users\Alfonso\Desktop\PFC\simulation\modelsim 

 

but the procedure was the same: 

 

cd c:/users/alfonso/desktop/pfc/simulation/modelsim 

source pfc_run_msim_rtl_vhdl.do 

 

Alfonso,
0 Kudos
Altera_Forum
Honored Contributor II
1,635 Views

Try removing -L rtl_work from the command line argument. 

 

Your script creates a folder named rtl_work, and then maps it to the VHDL library work. There is no library named rtl_work, so it should not be listed with the -L option. 

 

Alternatively, 

 

vsim -t ps TB_PFC_main 

 

should also work. Modelsim is pretty good about locating VHDL libraries. 

 

Cheers, 

Dave
0 Kudos
Altera_Forum
Honored Contributor II
1,635 Views

Sorrry sorry i know the cause of the problem. the top level entity testbench name was incorrect. 

 

sorry, don't kill me even i deserve, cause i'm the stupiest in the world.
0 Kudos
Altera_Forum
Honored Contributor II
1,635 Views

http://i50.tinypic.com/1zvcutj.jpg  

 

 

 

It wwwwwwwwooooooooooooooooooorrrrrkkkkkkkkkssssssssss!!!!!!!!!!!! Endorsing you dave!!!!!!!!!! One gwylllllion thanks !!!!!!!!!!!!!!
0 Kudos
Altera_Forum
Honored Contributor II
1,635 Views

 

--- Quote Start ---  

Sorrry sorry i know the cause of the problem. the top level entity testbench name was incorrect. 

 

sorry, don't kill me even i deserve, cause i'm the stupiest in the world. 

 

--- Quote End ---  

 

 

The lesson you should learn is to read the messages. The tools are generally pretty good at telling you what they cannot understand. 

 

 

--- Quote Start ---  

It wwwwwwwwooooooooooooooooooorrrrrkkkkkkkkkssssssssss!!!!!!!!!!!! Endorsing you dave!!!!!!!!!! One gwylllllion thanks !!!!!!!!!!!!!! 

--- Quote End ---  

 

 

You're welcome. 

 

Cheers, 

Dave
0 Kudos
Altera_Forum
Honored Contributor II
1,635 Views

 

--- Quote Start ---  

The lesson you should learn is to read the messages. The tools are generally pretty good at telling you what they cannot understand. 

 

 

 

You're welcome. 

 

Cheers, 

Dave 

--- Quote End ---  

 

 

 

The most important is not having fear to command tools like modelsim, is such a fear, that disables you to think, even tough quartus is for two years old children compared to modelsim, it is terribly difficult.
0 Kudos
Altera_Forum
Honored Contributor II
1,635 Views

 

--- Quote Start ---  

The most important is not having fear to command tools like modelsim, is such a fear, that disables you to think, even tough quartus is for two years old children compared to modelsim, it is terribly difficult. 

--- Quote End ---  

 

 

Using the tools becomes much simpler if you learn how to use the Tcl scripting language. The nice thing about scripts is you can write comments in them to remind yourself why you did things. Using the GUI is not as useful, as if you do not use the tools for a while, you forget how to use it. Look at the modelsim_example.zip file I referred you to earlier, and look at this tutorial: 

 

http://www.alterawiki.com/wiki/using_the_usb-blaster_as_an_sopc/qsys_avalon-mm_master_tutorial 

 

It has both Quartus and Modelsim Tcl scripts. 

 

Cheers, 

Dave
0 Kudos
Altera_Forum
Honored Contributor II
1,635 Views

Thank you Thank you thank you Dave, you are the only one has helped me to the end, the only fact that you are not left alone, helps going on, it's unbelievable the grateful i am, if i am fast i can this weekend teat all my testbench & project and monday/tuesday/friday show it to my master teacher to make all conclusions and finish the project. 

 

Thank you for your involvement.
0 Kudos
Altera_Forum
Honored Contributor II
1,635 Views

 

--- Quote Start ---  

Using the tools becomes much simpler if you learn how to use the Tcl scripting language. The nice thing about scripts is you can write comments in them to remind yourself why you did things. Using the GUI is not as useful, as if you do not use the tools for a while, you forget how to use it. Look at the modelsim_example.zip file I referred you to earlier, and look at this tutorial: 

 

http://www.alterawiki.com/wiki/using_the_usb-blaster_as_an_sopc/qsys_avalon-mm_master_tutorial 

 

It has both Quartus and Modelsim Tcl scripts. 

 

Cheers, 

Dave 

--- Quote End ---  

 

 

Downloaded, i'll take a look as i can and surelly if i get a job on VHDL, ayway, the next year i'll degree in electronics since in my university only two subjects change from telecommunications to electronics, which i did as optative subjects on of these ones was the one that teached me VHDL. So i'll keep visiting this forum surelly, and here i know there are kind persons to ask to in case of complicated doubts. 

 

Thank you!!!! See you.
0 Kudos
Altera_Forum
Honored Contributor II
1,635 Views

 

--- Quote Start ---  

if i am fast i can this weekend test all my testbench & project and monday/tuesday/friday show it to my master teacher to make all conclusions and finish the project. 

--- Quote End ---  

When you synthesize and simulate the code, pay attention to the warning messages from the tools. For example, in the files you sent me yesterday, there were warnings about uninitialized variables and signals missing from process statements. Read each warning, and edit your code to clear the error. Clearing as many trivial syntax errors as possible will help you see the real problems. 

 

Cheers, 

Dave
0 Kudos
Altera_Forum
Honored Contributor II
1,636 Views

If you referd to "change the library those two packages are compiled into, eg., right click on the files, and set the library to rtl_work" -> work 

0 Kudos
Reply