Programmable Devices
CPLDs, FPGAs, SoC FPGAs, Configuration, and Transceivers
20693 Discussions

How do I add AHDL files to a project that is all VHDL now?

SCale2
Beginner
1,240 Views
 
0 Kudos
2 Replies
sstrell
Honored Contributor III
316 Views

Simply add it to the project. Quartus can still understand AHDL.

0 Kudos
Vicky1
Employee
316 Views

Hi,

Yes, Quartus still support the AHDL.

ether you can add by "Project->Add/Remove files In Project" or use the Tcl assignments " set_global_assignment -name AHDL_FILE <file name>.tdf" in Tcl Console.

 

Let me know if this has helped resolve the issue you are facing or if you need any further assistance.

Best Regards

Vikas Jathar 

(This message was posted on behalf of Intel Corporation)

 

0 Kudos
Reply