Programmable Devices
CPLDs, FPGAs, SoC FPGAs, Configuration, and Transceivers
20688 Discussions

How to set timing constraint for JTAG

XQSHEN
Novice
742 Views

XQSHEN_0-1650714307626.png

 

0 Kudos
1 Solution
ak6dn
Valued Contributor III
727 Views

Here is how I put timing constraints on a JTAG interface (I do it in an .sdc constraint file):

 

# JTAG setup for Altera USB Blaster

set_false_path -from [get_ports {altera_reserved_*}] -to CPUCLK
set_false_path -from CPUCLK -to [get_ports {altera_reserved_*}]

create_clock -name altera_reserved_tck -period 40 {altera_reserved_tck}
remove_input_delay [get_ports {altera_reserved_tdi altera_reserved_tms}]
set_input_delay -clock altera_reserved_tck -clock_fall 3 [get_ports {altera_reserved_tdi altera_reserved_tms}]
remove_output_delay [get_ports {altera_reserved_tdo}]
set_output_delay -clock altera_reserved_tck 3 [get_ports {altera_reserved_tdo}]

# Cut off paths between async clock domains

remove_clock_groups -all
set_clock_groups -asynchronous -group {CPUCLK} -group {altera_reserved_tck}

View solution in original post

0 Kudos
1 Reply
ak6dn
Valued Contributor III
728 Views

Here is how I put timing constraints on a JTAG interface (I do it in an .sdc constraint file):

 

# JTAG setup for Altera USB Blaster

set_false_path -from [get_ports {altera_reserved_*}] -to CPUCLK
set_false_path -from CPUCLK -to [get_ports {altera_reserved_*}]

create_clock -name altera_reserved_tck -period 40 {altera_reserved_tck}
remove_input_delay [get_ports {altera_reserved_tdi altera_reserved_tms}]
set_input_delay -clock altera_reserved_tck -clock_fall 3 [get_ports {altera_reserved_tdi altera_reserved_tms}]
remove_output_delay [get_ports {altera_reserved_tdo}]
set_output_delay -clock altera_reserved_tck 3 [get_ports {altera_reserved_tdo}]

# Cut off paths between async clock domains

remove_clock_groups -all
set_clock_groups -asynchronous -group {CPUCLK} -group {altera_reserved_tck}
0 Kudos
Reply