Programmable Devices
CPLDs, FPGAs, SoC FPGAs, Configuration, and Transceivers
20688 Discussions

Licensing error in simulation. How can I fix it?

YYama23
Beginner
26,455 Views

I'm trying to run a functional simulation on Quartus Prime Lite, but I get this error:

Unable to checkout a license. Vsim is closing. ** Fatal: Invalid license environment. Application closing. Unable to checkout a license. Make sure your license file environment variables are set correctly and then run 'lmutil lmdiag' to diagnose the problem. Modelsim - Intel FPGA Edition uses the following environment variables to check the licenses (listed in the order of preference) 1. MGLS_LICENSE_FILE 2. LM_LICENSE_FILE.

I just want to use Quartus to run some simulations.

0 Kudos
5 Replies
AnandRaj_S_Intel
Employee
25,879 Views

Hi,

 

Do you have license for Modelsim - Intel FPGA Edition ?

If no, use ModelSim-Altera Starter Edition.

If yes check your license validity & environment variable.

 

Let me know if you need any further assistance.

 

Regards

Anand

0 Kudos
YYama23
Beginner
25,879 Views

I don't think so. I installed the Quartus Prime Lite v18. I assumed I wouldn't need any licenses because it's a free version. I just ran the instalation by clicking the QuartusLiteSetup-18.1.0.625-windows.exe.

 

How do I use ModelSim-Altera Starter Edition? This option doesn't appear in Assignments > Settings > EDA tool settings > simulation.

 

0 Kudos
AnandRaj_S_Intel
Employee
25,879 Views

Okay,

 

Have you set path environment variable to C:\intelFPGA_lite\18.1\modelsim_ase\win32aloem?

 

Set PATH=C:\intelFPGA_lite\18.1\modelsim_ase\win32aloem and launch modelsim from tools->run simulation tools->rtl simulation that will launch the modelsim.

After assigning environment variable restart Quartus or system itself.

 

Let me know if this has helped resolve the issue you are facing or if you need any further assistance.

 

Regards

Anand

sstrell
Honored Contributor III
25,879 Views

When you install Quartus, you have the option of installing the Starter edition or the regular edition. If you accidentally installed the regular edition, you would get this license issue. If you have the modelsim_ase directory as mentioned, then you installed the correct version and should check the environment variables.

Dutch1994
Beginner
19,240 Views
Hello, I had exactly the same error when trying to simulate the asynchronous counter in this video: https://www.youtube.com/watch?v=kRXWk2llxVk (minute 11:57)
Seeing several comments about it, it occurred to me to go to this path C:\intelFPGA_lite\17.0
There, I found 2 files: modelsim_ase and modelsim_ae
What I did was delete the "modelsim_ae", then I closed the vwf simulation, I recompiled my schematic, I opened the VWF University Program, I put the entries with their values ​​and I managed to simulate it well (the time diagram appeared).
Let's see if that works for you...
0 Kudos
Reply