- Mark as New
- Bookmark
- Subscribe
- Mute
- Subscribe to RSS Feed
- Permalink
- Report Inappropriate Content
hello all
i get this message error when i tried to to do this example can any one help me plz Error (10315): VHDL syntax error at quad_buff.vhd(15): object with std_logic type cannot contain character 'z'
library ieee;
use ieee.std_logic_1164.all;
entity quad_tri is
port(
a: in std_logic_vector(3 downto 0);
g: in std_logic;
y: out STD_LOGIC_VECTOR(3 downto 0));
end quad_tri;
architecture quad_buff of quad_tri is
begin
with g select
y <= a when '0',
"zzzz" when others;
end quad_buff;
Link Copied
2 Replies
- Mark as New
- Bookmark
- Subscribe
- Mute
- Subscribe to RSS Feed
- Permalink
- Report Inappropriate Content
"zzzz" ist not the same as "ZZZZ"
- Mark as New
- Bookmark
- Subscribe
- Mute
- Subscribe to RSS Feed
- Permalink
- Report Inappropriate Content
i guessed it is not sensitive case

Reply
Topic Options
- Subscribe to RSS Feed
- Mark Topic as New
- Mark Topic as Read
- Float this Topic for Current User
- Bookmark
- Subscribe
- Printer Friendly Page