Programmable Devices
CPLDs, FPGAs, SoC FPGAs, Configuration, and Transceivers
21611 Discussions

Std_logic_vector With Z Character

Altera_Forum
Honored Contributor II
3,038 Views

hello all  

 

i get this message error when i tried to to do this example can any one help me plz 

 

Error (10315): VHDL syntax error at quad_buff.vhd(15): object with std_logic type cannot contain character 'z' 

 

library ieee; use ieee.std_logic_1164.all; entity quad_tri is port( a: in std_logic_vector(3 downto 0); g: in std_logic; y: out STD_LOGIC_VECTOR(3 downto 0)); end quad_tri; architecture quad_buff of quad_tri is begin with g select y <= a when '0', "zzzz" when others; end quad_buff;
0 Kudos
2 Replies
Altera_Forum
Honored Contributor II
2,002 Views

"zzzz" ist not the same as "ZZZZ"

0 Kudos
Altera_Forum
Honored Contributor II
2,002 Views

i guessed it is not sensitive case

0 Kudos
Reply