Programmable Devices
CPLDs, FPGAs, SoC FPGAs, Configuration, and Transceivers
21017 Discussions

finding the maximum working frequency in our design

mohamis
Novice
550 Views

Hello,

 

I have designed a FIR filter and I want to know how to find the maximmum working frequency. how can I do that? in modelim or design vision. The frequency that we set in design vision is the maximum working frequency? 

0 Kudos
3 Replies
sstrell
Honored Contributor III
534 Views

The Quartus compilation report and the Timing Analyzer (accessed from the Tools menu) can tell you the fmax of your design after compilation.

0 Kudos
WZ2
Employee
510 Views

Hi there,

We just receive this case, and we will help you resolve your problem as soon as possible~


0 Kudos
Farabi
Employee
509 Views

Hello,


When you full compile your design, Quartus will automatically run Timing Analysis. You can run Fmax for your design and Timing Analysis tool will determine the maximum clock that possible to run on your design.


regards,

Farabi


0 Kudos
Reply