Intel® Quartus® Prime Software
Intel® Quartus® Prime Design Software, Design Entry, Synthesis, Simulation, Verification, Timing Analysis, System Design (Platform Designer, formerly Qsys)
16556 Discussions

Connection bi-directional pin to SignalTap

amildm
Valued Contributor I
577 Views

Hi there, 

How can I connect the bi-directional signal, which in defined as inout, to SignalTap?

When I connect this signal to SignalTap, the following error message is issued: "Error(17044): Illegal connection on I/O input buffer primitive barracuda_top_inst|barracuda_regs_inst|u_bbic_i2c_buf|output_buf_i2c|core|i_loop[0].altera_gpio_bit_i|input_buffer.ibuf. Source I/O pin barracuda_top_inst|bbic_i2c_sda drives out to destinations other than the specified I/O input buffer primitive. Modify your design so the specified source I/O pin drives only the specified I/O input buffer primitive"

How to solve?

Thank you!

0 Kudos
6 Replies
sstrell
Honored Contributor III
564 Views

You can't connect any I/O pin directly to Signal Tap because there is no route from the I/O cell back into the FPGA fabric.  You have to tap the register or logic that is feeding or being fed by the pin.  In the Signal Tap Node Finder, make sure you are using one of the Signal Tap filters to guarantee that you choose nodes that can be tapped by Signal Tap.

amildm
Valued Contributor I
554 Views

sstrell, thanks a lot for your reply! for some reason I cannot grant you a Kudo... I don't know why ... I've logged in to my account ...

 

Anyway, you wrote: " In the Signal Tap Node Finder, make sure you are using one of the Signal Tap filters to guarantee that you choose nodes that can be tapped by Signal Tap" - so, could you probably attach a screenshot of the SignalTap window and show how to use this filter?

0 Kudos
sstrell
Honored Contributor III
551 Views

When you double-click in the Node list to add nodes to tap, the Node Finder opens.  That is where you set to use the Signal Tap pre-synthesis or post-fit filter.

amildm
Valued Contributor I
547 Views

If in RTL I would define a module port as inout, but don't connect it to INOUT pin, then could I connect such signal/port to SignalTap?

0 Kudos
sstrell
Honored Contributor III
545 Views

You could try, but the compiler would probably just optimize it away.

The only way to guarantee a connection to Signal Tap is to use the Node Finder filters.

Ash_R_Intel
Employee
507 Views

As the query has been answered by community members, hence....

This thread will be transitioned to community support. If you have a new question, feel free to open a new thread to get the support from Intel experts. Otherwise, the community users will continue to help you on this thread. Thank you


0 Kudos
Reply