Intel® Quartus® Prime Software
Intel® Quartus® Prime Design Software, Design Entry, Synthesis, Simulation, Verification, Timing Analysis, System Design (Platform Designer, formerly Qsys)
16596 Discussions

Hanging compilation- analysis and synthesis does not progress past 47%

RMcKe2
Beginner
897 Views

I am currently unable to compile- compilation hangs specifically at 47% (or occasionally 65%) completion of the "Analysis and Synthesis" step. Compilation will not continue past these points, even if left for long periods of time (>12Hrs.).  No error messages are reported, and compilation timer continues to count.

 

This behavior is consistent across multiple projects that are proven to compile without issue on other computers.

 

I am using Quatus Prime Standard Edition 17.0.2

 

Any assistance would be greatly appreciated! Curious if this is an issues that others have encountered and rectified in the past.

 

Thank you!

0 Kudos
2 Replies
sstrell
Honored Contributor III
492 Views

The first thing to try is to close Quartus and trash the db and incremental_db folders for the project. Then reopen Quartus and try recompiling.

 

#iwork4intel

0 Kudos
RMcKe2
Beginner
492 Views

This did seem to do the trick- Great to know going forward. Thank you very much for the assistance!

 

Additionally valuable to note is that the issues that I encountered may be a byproduct of using Github as a means of version control- potentially due to the .gitignore file that I use that disregards both the db and incremental_db folders.

 

 

0 Kudos
Reply