Intel® Quartus® Prime Software
Intel® Quartus® Prime Design Software, Design Entry, Synthesis, Simulation, Verification, Timing Analysis, System Design (Platform Designer, formerly Qsys)
16604 Discussions

How to auto add commands into the Quartus project?

NuvKFC
New Contributor I
569 Views

I'm a GUI user. And I have the same design on the difference FPGA device.

So, I have three projects. The different setting of them only is the FPGA device name.

I don't want to set the same setting manually again and again.

 

How to do that?

I had tried to key the command as follows picture into a TCL file. And I really add the TCL file into the Quartus project.

However, it don't work.

Can anyone help me? Thank you very much.

 

By the way, I add TCL file into the Quartus project by using GUI.

NuvKFC_1-1636688931875.png

 

 

Command in the TCL file:

NuvKFC_0-1636688866151.png

 

0 Kudos
1 Solution
sstrell
Honored Contributor III
547 Views

The files you add there are design files.

To do this, just manually edit the .qsf or add a "source" command in the .qsf to source your separate .tcl file.

View solution in original post

4 Replies
sstrell
Honored Contributor III
548 Views

The files you add there are design files.

To do this, just manually edit the .qsf or add a "source" command in the .qsf to source your separate .tcl file.

NuvKFC
New Contributor I
531 Views

Hi sstrell

     thank you, sstrell, very much. Can I use the Quartus GUI to add a  "source" command in the .qsf to source my separate .tcl files or .qip files?

     Or just only can manually add a "source" command in the .qsf file?

     Thank you very much.

0 Kudos
sstrell
Honored Contributor III
521 Views

No, you have to manually edit the .qsf.  There's no option for this in the GUI.

0 Kudos
NuvKFC
New Contributor I
512 Views

Hi sstrell

     I get it. Thank you, sstrell, very, very much.

0 Kudos
Reply