Intel® Quartus® Prime Software
Intel® Quartus® Prime Design Software, Design Entry, Synthesis, Simulation, Verification, Timing Analysis, System Design (Platform Designer, formerly Qsys)
16597 Discussions

Incorrect additional .sdc file causing compilation errors

AAjit2
New Contributor I
1,092 Views

Hi

I'm modifying an existing project call vjuartcomm, and I have added the .sdc file called vjuartcomm however upon compilation I'm getting the error "Critical Warning (332012): Synopsys Design Constraints File file not found: 'vjatguart.sdc'..." The thing is I believe the 'vjatguart.sdc' is to be an incorrect file, yet I'm unable to trace the source of this problem so that I may update this. I have gone through the settings and in everything I only see the .sdc file I created and all I know is that I get this error from the fitter. I tried running the fitter and timing analysis separately and I got no error, but upon full compilation this error came about. Any suggestions on how I can trace the source of origin for this error. Thanks!!

0 Kudos
6 Replies
IDeyn
New Contributor III
585 Views

Hi AAjit2!

 

If you attach the the project (qar for example) it would be much easier for the community to help you.

 

--

Best regards,

Ivan

0 Kudos
AAjit2
New Contributor I
585 Views

Thank you for your suggestion, I will do so from now on.

 

 

0 Kudos
sstrell
Honored Contributor III
585 Views

If the project says vjatguart.sdc, a file by that name must be referenced somewhere in your project or your SDC constraints. Are you saying that in the Timing Analyzer settings, the only file referenced is vjuartcomm.sdc?

 

Try cleaning the project from the Project menu and recompiling.

 

#iwork4intel

AAjit2
New Contributor I
585 Views

Yes this is correct. So previously I was getting error in Timing Analyzer as well as Fitter. But when I changed the file in Timing Analyzer that error went away but the fitter error was persisting. Cleaning worked for me to remove the error from fitter, thank you!

0 Kudos
KhaiChein_Y_Intel
585 Views

Hi,

 

Have you try sstrell's suggestion?

 

Thanks.

0 Kudos
AAjit2
New Contributor I
585 Views

Yes, sorry for the delay in replying. This has worked for me

 

0 Kudos
Reply