Intel® Quartus® Prime Software
Intel® Quartus® Prime Design Software, Design Entry, Synthesis, Simulation, Verification, Timing Analysis, System Design (Platform Designer, formerly Qsys)
16557 Discussions

Quartus crashes during analysis and synthesis

HenryNS
Beginner
333 Views

Hello,

I'm running quartus prime pro 17.1, trying to compile the simple socket server example (provided in the cyclone 10 gx kit collateral).

Every time I attempt to compile this project the progress bar reaches 16% and then quartus crashes on the info message "Analyzing source files" with no additional errors. 

If I attempt to compile other example projects the compilation completes without error, just the simple socket server that crashes the software.

I've uninstalled and reinstalled quartus AND the example files but to no avail. Getting this example to work is critical for my work so would appreciate any assistance on this issue. 

Kind regards,

Henry

HenryNS_1-1653986132379.png

 

0 Kudos
4 Replies
sstrell
Honored Contributor III
316 Views

Have you tried trashing the qdb folder and recompiling?  Perhaps the compilation data is corrupted.

0 Kudos
ShengN_Intel
Employee
305 Views

Hi Henry,


Tested the simple socket server example in Kit Collateral (zip) from link below with Quartus Pro v17.1 and no problem with compilation. Memory RAM used 64GB.

https://www.terasic.com.tw/cgi-bin/page/archive.pl?Language=English&CategoryNo=253&No=1147&PartNo=3


Best Regards,

Sheng


0 Kudos
ShengN_Intel
Employee
288 Views

Hi Henry,


May I know any update or should I consider that case to be closed?


Best Regards,

Sheng


0 Kudos
ShengN_Intel
Employee
272 Views

Since there are no further feedback for this thread, I shall set this thread to close pending. If you still need further assistance, you are welcome reopen this thread within 20days or open a new thread, some one will be right with you.


0 Kudos
Reply