Programmable Devices
CPLDs, FPGAs, SoC FPGAs, Configuration, and Transceivers
20641 Discussions

JTAG to Avalon master simulation

Minh_Le
Beginner
676 Views

Hi,

I want to simulate my entire design that include main_qsys IP core and Nios processor. I try to follow the link below to talk to Avalon bus via System Console in simulation; however, I couldn't download the setup_pli.tcl script. Is there anyway you can provide it? The ip cores are generated by Quartus Prime 18.1, QuestaSim version is 10.5 C . Please provide any related update if we have one since the last time it's updated was 2019.

Thanks,

-Minh

https://community.intel.com/t5/FPGA-Wiki/Avalon-ST-JTAG-Interface-PLI-Simulation-Mode/ta-p/735219

0 Kudos
7 Replies
KellyJialin_Goh
Employee
606 Views

Hi,


We are currently looking into it and will get back to you.

Thank you.


0 Kudos
KellyJialin_Goh
Employee
535 Views

Hi Minh Le,

We might need some more time to look into the link failure that you mentioned. Kindly give us some more time and I will get back to you as soon as possible.


Thank you.


Regards,

Kelly Jialin, GOH


0 Kudos
Minh_Le
Beginner
521 Views

Hi Kelly

 

Thanks for looking into it. 

 

Minh

0 Kudos
KellyJialin_Goh
Employee
481 Views

Hi Minh Le,

We have passed on your question to the engineering team to look into deeply. Sorry to keep you waiting and I will update you from time to time on your question progress.

Thank you.


Regards,

Kelly


0 Kudos
KellyJialin_Goh
Employee
477 Views

Hi Minh Le,

The setup_pli.tcl script is now fixed and can be downloaded from the link here: https://community.intel.com/t5/FPGA-Wiki/Avalon-ST-JTAG-Interface-PLI-Simulation-Mode/ta-p/735219

Hope this could solve your problem and kindly update if it works on your side too. Thank you.


Regards,

Kelly Jialin, GOH


KellyJialin_Goh
Employee
451 Views

Hi Minh Le,

Is the information and new link provided practical and useful to you?


Regards,

Kelly Jialin, GOH


0 Kudos
KellyJialin_Goh
Employee
445 Views

Hi,

As we do not receive any response from you on the previous question answer that we have provided. Please login to ‘https://supporttickets.intel.com’, view details of the desire request, and post a feed/response within the next 15 days to allow me to continue to support you. After 15 days, this thread will be transitioned to community support. The community users will be able to help you on your follow-up questions.


Thank you.

p/s: If any answer from the community or Intel Support are helpful, please feel free to give best answer or rate 9/10 survey.


Regards.

Kelly Jialin, GOH


0 Kudos
Reply