Intel® Quartus® Prime Software
Intel® Quartus® Prime Design Software, Design Entry, Synthesis, Simulation, Verification, Timing Analysis, System Design (Platform Designer, formerly Qsys)
16596 Discussions

Quartus PrimeLite SystemVerilog Support

MFILK
Beginner
1,902 Views

Hi

 

I am trying to set up a project with Quartus Prime Lite Edition 18_0.

I am importing the whole design and testbench with SystemVerilog Files, also for the design files. But in the EDA Tool Settings/Design Entry/Synthesis Window (Tool = Custom) i can't choose the option system format SystemVerilog. only Verilog , VHDL, AHDL; VQM can be choosen.

 

How can i change this so i can use SystemVerilog also for design file entry ?

0 Kudos
2 Replies
sstrell
Honored Contributor III
1,539 Views

That setting is for synthesizing your design in a tool other than Quartus. Are you doing that? If not, you don't need to set this. Quartus can synthesize .sv files directly.

 

#iwork4intel

0 Kudos
Vicky1
Employee
1,539 Views

Hi,

Actually Quartus has limited support for system verilog, please refer the Intel FPGA Product catalog(attached)

As suggested in above post Quartus can simply synthesize System verilog files(just create & save files with system verilog extension)

 

Regards,

Vicky

 

0 Kudos
Reply